====== Labor Embedded Systems ====== Alles Wissenswerte zu den Vorlesungen im Labor Embedded Systems. ===== Ansprechpartner ===== [[https://www.oth-regensburg.de/personen/detailansicht/matthias-altmann|Matthias Altmann]] https://www.oth-regensburg.de/fakultaeten/informatik-und-mathematik/labore/embedded-systems.html ===== Anleitungen ===== * [[https://fbim.fh-regensburg.de/wiki/files/ise82tutorial/ise-82-quickstarttutorial-web.html|ISE-82 Quicktutorial]] (Flash-Video) * [[https://fbim.fh-regensburg.de/wiki/files/codewarrior/codewarrior-video.html|Codewarrior-Tutorial]] (Flash-Video) ===== Vorgaben ReRoZoo ===== * [[http://www.doxygen.nl/|Doxygen zur Quellcode Dokumentation]] - Generator Tool * [[http://fbim.fh-regensburg.de/svninfo/|Subversion an der Fakultät IM]] * [[http://www.ganssle.com/misc/fsm.doc|Firmware Development Standard]] ===== Embedded Systems im Allgemeinen ===== * [[http://www.embedded.com/|Embedded.com]] - Embedded Systems Design * [[http://www.mikrocontroller.net/|Mikrocontroller.net]] - deutschprachige Community * [[http://www.embedded-projects.net/|Embedded-Projects.net]] - verschiedene Open Source Projekte * [[http://www.ganssle.com/articles-subj.htm|Gesammelte Artikel von Jack Ganssle]] * [[http://www.embeddedgurus.net/|EmbeddedGurus.net]] - Blogs und Forum zum Thema * [[http://www.elektroniknet.de/|Elektronik.net]] * [[http://www.embedded-world.de/|Embedded World]] - Messe in Nürnberg ===== Standards ===== * [[http://autosar.org/|Autosar]] * [[http://www.flexray.com/|Flexray]] * [[http://www.misra.org.uk/|Mirsa]] ===== Werkzeuge ===== * [[http://www.vector.com/|Vector CanOE für Flexray und CAN]] * [[http://www.picotech.com/handheld-oscilloscope.html|Handheld Oszilloskop von Picotech]] * [[http://www.pctestinstruments.com/|LogicAnalyzer von PC Testinstruments]] ===== EMS Infineon XE167 ===== * [[http://www.infineon.com/dgdl/XE166Board_V10.pdf?folderId=db3a3043156fd5730115b3a665650d23&fileId=db3a3043156fd57301161f6887751f19|XE166 Boardbeschreibung]] * [[http://www.infineon.com/cms/en/product/channel.html?channel=db3a3043134dde6001134ee4d3b30265|DAVE]] * [[http://www.infineon.com/dgdl/xe166_um_v2.1_2008_08_vol1sys.pdf?folderId=db3a3043156fd5730115b3a665650d23&fileId=db3a3043156fd5730116100b5a941b4f|MCU Beschreibung]] - Teil 1 * [[http://www.infineon.com/dgdl/xe166_um_v2.1_2008_08_vol2per.pdf?folderId=db3a3043156fd5730115b3a665650d23&fileId=db3a3043156fd5730116100ca36c1b50|MCU Beschreibung]] - Teil 2 * [[http://www.infineon.com/dgdl/AP1613010_USIC_IIC.pdf?folderId=db3a304313719f4f011372b30f58008a&fileId=db3a304317a74836011830e530126c1e|AP16130 USIC Getting Started (IIC)]] ===== Roboter ===== * [[http://letsmakerobots.com/|LetsMakeRobots.com]] - Präsentation verschiedener Roboter * [[http://www.societyofrobots.com/|Society of Robots]] - Links zu Herstellern, Tutorials, Bauanleitungen * [[http://diydrones.com/|DIY Drones]] - englischsprachige Community für Flugroboter * [[http://www.mikrokopter.de/ucwiki/|Mikrokopter.de]] - Quadrokopter Bausatz * [[http://www.i-swarm.org/|i-swarm]] - Schwarmroboter * [[http://paginas.fe.up.pt/~jca/wrsc/index.html|Weltmeisterschaft der Segelroboter]] (oder Robotersegler ?) * [[http://ais.informatik.uni-freiburg.de/teaching/ss09/robotics/|Introduction to Mobile Robotics]] - Vorlesung der Uni Freiburg ===== Digital Design ===== * [[http://tams-www.informatik.uni-hamburg.de/applets/baukasten/DA/Inhalt.html|Interaktiver von Neumann-Rechner]] * [[http://tams-www.informatik.uni-hamburg.de/applets/hades/webdemos/index.html|Interaktive Simulationen diskreter Systeme]] * [[http://www-ihs.theoinf.tu-ilmenau.de/~sane/projekte/flipflop/embed_flipflop.html|Flip-Flop Simulationen]] * [[http://www-user.tu-chemnitz.de/~maab/quine/|Verfahren nach Quine und McCluskey]] - 1 * [[http://www-ihs.theoinf.tu-ilmenau.de/~sane/projekte/qmc/embed_qmc.html|Verfahren nach Quine und McCluskey]] - 2 * [[http://www.lrs.eei.uni-erlangen.de/project/kvs/|KV-Diagramme]] * [[http://www.4004.com/|www.4004.com]] - Inoffizelle Seite zum Intel Klassiker ===== VHDL ===== * [[http://www.eda.org/rassp/vhdl/guidelines/vhdlqrc.pdf|VHDL-Sprachreferenz auf zwei A4 Seiten]] * [[http://tams-www.informatik.uni-hamburg.de/vhdl/|Eine Fundgrube für VHDL-Entwickler]] - Uni-Hamburg * [[http://www.aldec.com/downloads/|Interaktive VHDL-Tutorials zum Download]] (Registrierung nötig) * [[http://www.soccentral.com/|SoC Central]] - Nachrichten, VHDL-Beispiele, etc. * [[http://www.99-bottles-of-beer.net/language-vhdl-168.html|99 Bottles of Beer]] - In über 1000 Programmiersprachen, darunter VHDL * [[http://tams-www.informatik.uni-hamburg.de/vhdl/doc/cookbook/VHDL-Cookbook.pdf|VHDL-Cookbook]] * [[http://www.derepas.com/fabrice/hard/|VHDL-Tutorial]] (für das Spartan 3 Starter-Kit) ===== SystemC und Co. ===== * [[http://sclive.wordpress.com/|Linux Live CD für SystemC]] + Tutorial ===== FPGA und andere PLD ===== * [[http://www.eetimes.com/design/programmable-logic|E-Times]] - Programmable Logic DesignLine * [[http://www-ihs.theoinf.tu-ilmenau.de/~sane/projekte/progstruct/progstruct.html|Simulation programmierbarer Strukturen]] (ROM, PAL, PLD) * [[http://eetimes.com/design/programmable-logic/4014844/FPGA-Architectures-from-A-to-Z--Part-1|FPGA Architekturen von A-Z]] * [[http://www.fpga-guide.com/|FGPA Guide]] * [[http://www.mikrocontroller.net/forum/fpga-vhdl-cpld|Deutschsprachiges Forum zum Thema]] * [[http://www.fpga4fun.com/|fpga4fun.com]] - Zahlreiche Beispiele (in Verilog) * [[http://www.fpgaarcade.com/|FPGA Arcade]] - Arcade-Klassiker auf FPGAs * [[http://old.xess.com/ho03000.html|VHDL-Beispiele für Xilinx basierte FPGAs]] * [[http://opencores.org/|OpenCores]] - Open-Source "Hardware" * [[http://openciphers.sourceforge.net/oc/|OpenCiphers]] - Passwort/Hash-Cracking mit FPGAs * [[http://www.copacobana.org/|COPACOBANA]] - FPGA-basierter Code-Breaker (Gemeinschaftsprojekt der RU Bochum und der CAU Kiel) * [[http://www.opensparc.net/|OpenSparc]] - Open Source Server Prozessor * [[http://www.openfpga.org/|openfpga.org]] - Standard für FPGA basierte CO-Prozessoren ===== Spartan 3E-StarterKit Board ===== * [[http://www.xilinx.com/products/boards-and-kits/HW-SPAR3E-SK-US-G.htm|Spartan-3E]] - Produktseite des Herstellers * [[http://www.xilinx.com/support/index.htm#nav=sd-nav-link-19203&tab=tab-sd|Spartan-3E Support]] - Handbücher für das Board * [[http://www.xilinx.com/support/documentation/data_sheets/ds312.pdf|Datenblatt für Spartan-3E]] ===== Pico-Blaze (Xilinx 8 bit Prozessor) ===== * [[http://www.xilinx.com/products/intellectual-property/picoblaze.htm|Pico-Blaze]] - 8-bit Microcontroller * [[http://cnx.org/content/m12047/latest/|Designing Using the PicoBlaze Microcontroller]] * [[http://www.mediatronix.org/?q=node/2|pBlazeIDE]] - Entwicklungsumgebung